登录|注册|收藏精一控|在线留言|网站地图

您好!欢迎来到精一控官网!

精一控自动化9年专注-专业的自动化解决方案提供商9 years automation professional solution provider

全国咨询热线

13790148398
PLC编程
当前位置:首页 » 精一控资讯 » 行业新闻 » 西门子PLC |西门子S7--200PLC编程|的通用惯例

西门子PLC |西门子S7--200PLC编程|的通用惯例

字号:T|T
文章出处:网责任编辑:作者:人气:-发表时间:2014-06-03 11:19:00

西门子PLC |西门子S7--200PLC编程| 的通用惯例

 

EN/ENO的定义

EN (允许输入)是LAD和FBD中框的布尔输入。要使西门子PLC框指令执行,必须使功率流到达这个输入。在

STL中,指令没有EN输入,但是要想使STL指令执行,堆栈顶部的逻辑值必须是“1”。

ENO (允许输出)是LAD和FBD中框的布尔输出。如果框的EN输入有功率流并且指令正确执行,则

ENO输出会将功率流传递给下一元素。如果s7-200PLC指令的执行出错,则功率流在出错的框指令处被中断。

在STL中没有允许输出,但是STL指令象相关的有ENO输出的LAD和FBD指令一样,置位一个特殊的

ENO位。这个位可以用AND ENO (AENO)指令访问,并且可以产生与框的ENO位相同的作用。

 

西门子s7-200

西门子s7-200

 

西门子PLC条件输入/无条件输入

在LAD和FBD中,依赖于功率流的框或线圈,肯定有其他元素在它的左侧。而独立于功率流的框或线

圈,其左侧则直接连接到能量线。表5--2展示了一个既有条件输入又有无条件输入的实例。

 

西门子s7-200

西门子s7-200

 

没有输出的指令

无法级连的框指令被表示为没有布尔输出。这些包括西门子s7-200子程序调用、跳转和条件返回指令。梯形线圈也

只能放在能量线之后。这些指令包括标签、装载SCR、SCR条件结束和SCR结束指令。它们在FBD

中以框指令的形式表示,并以无标签的能量输入和无输出来辨别。

 

比较指令

无论是否有功率流,比较西门子s7-200PLC指令都会被执行。如果无功率流则输出0。如果有功率流,输出值取决于比

较结果。虽然是作为一个触点来执行操作,但是SIMATIC FBD、IEC梯形图和IEC FBD比较指令都

是以盒的形式表示的。

 

 

东莞市精一控自动化电气有限公司,提供西门子PLC |西门子S7--200PLC编程| 的通用惯例

 

 

 

 

 

 

排行榜

14KW台达变频器VFD040C43A
1
4KW台达变频器VFD040C43A
台达变频器|4KW变频器|台达VFD-C2000系列|台达变频器VFD040C43A
2兼容西门子EM222|兼容西门子PLC模块|兼容西门子s7-200PLC模块
2
兼容西门子EM222|兼容西门子PLC模块|兼容西门子s7-200PLC模块
虎门PLC模块|兼容西门子EM222|兼容西门子PLC模块|兼容s7-200PLC模块|兼容西门子PLC输出模块|兼容西门子s7-200PLC模块|虎门兼容西...
3西门子s7-200smartplc模块6ES7288-2DR16-0AA0
3
西门子s7-200smartplc模块6ES7288-2DR16-0AA0
东莞市精一控自动化电气有限公司经营smart200plc|s7-200smartplc模块|西门子plc模块|西门子smart200plc|s7-200plc模块|西门子s7-...
4西门子s7-1200PLC模块6ES7274-1XF30-0XA0
4
西门子s7-1200PLC模块6ES7274-1XF30-0XA0
提供虎门西门子PLC|PLC模块|西门子PLC模块|s7-1200PLC模块|西门子s7-1200|西门子模块6ES7|西门子s7-1200PLC模块|西门子s7-1200PL...
58输入模块|EM121输入模块|兼容西门子PLC输入模块
5
8输入模块|EM121输入模块|兼容西门子PLC输入模块
8输入模块|EM121输入模块|国产PLC输入模块|兼容西门子PLC模块|兼容西门子PLC输入模块|兼容西门子s7-200PLC模块
6广州西门子LOGO扩展模块6ED1 055-1MM00-0BA1
6
广州西门子LOGO扩展模块6ED1 055-1MM00-0BA1
精一控自动化专业销售,广州西门子LOGO|西门子LOGO模块|LOGO扩展模块|广州西门子模块|广州西门子LOGO扩展模块6ED1 055-1MM00-0BA1...

同类文章排行

最新资讯文章

您的浏览历史

    正在加载...